کد VHDL قابل سنتز مولد شکل موج های استاندارد سینوسی، مربعی، مثلثی، دندانه اره ای

- کد VHDL  قابل سنتز مولد شکل موج های استاندارد سینوسی، مربعی، مثلثی، دندانه اره ای

کد VHDL قابل سنتز مولد شکل موج های استاندارد سینوسی، مربعی، مثلثی، دندانه اره ای

  • کد VHDL قابل سنتز مولد شکل موج های استاندارد:

    • سینوسی
    • مربعی
    • مثلثی
    • دندانه اره ای

      امکان تنظیم فاز و فرکانس شکل موج خروجی در کد VHDL در نظر گرفته شده است.

    این کد در FPGA پیاده سازی شده و صحت عملکرد آن تضمین شده است:

    ورودی ها و خروجی ماژول بصورت زیر می باشد:

    –==============================

    entity generator is
    port (
    DATA : in std_logic_vector(5 downto 0);
    SEL : in std_logic_vector(1 downto 0);
    PS : in std_logic;
    FR : in std_logic;
    CLR : in std_logic;
    CE : in std_logic;
    Q : out std_logic_vector(7 downto 0);
    CLK : in std_logic);

  • end generator;

    –=============================

برای دانلود کلیک کنید